ISSN:2085-6989
DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh:
Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang
ABSTRACT In middle 1990, electronics industry had the evolution of personal Computer, telephone cellular and high speed data communication equipment. To follow this development, electronics companies have designed and produce new product. One of these innovations is Programmable Logic Devices (PLD) technology. It is a technology to change function of IC digital logic using programming. Many of Programmable Logic Device (PLD) can be used to programming logic using single chip of integrated circuit (IC). Programmable Logic Devices (PLD) technology is applied using IC PAL 22V10 to design decoder biner to decimal. Keyword: Programmable Logic Devices (PLD), decoder, sevensegment, IC PAL 22V10
PENDAHULUAN Pada pertengahan 1990, industri elektronika mengalami perkembangan yang pesat dalam personal computer, telepon seluler dan peralatan komunikasi data dengan kecepatan tinggi. Untuk persaingan pasar, produsen membuat produk dengan meningkatkan fungsi, penampilan, biaya rendah, konsumsi daya yang rendah dan ukuran yang kecil. Untuk memenuhi kebutuhan ini, produsen menciptakan sistem yang komplek dengan pemakain IC yang lebih sedikit dan ukuran PCB yang lebih kecil. Berbagai teknologi terpadu digunakan seperti proses submikron semikonduktor, teknologi PCB, dan pemaksimalan penggunaan permukaan PCB. Penunjang design digunakan oleh para designer berupa Electronic Design Automation
(EDA) tools. Kondisi pasar ini membuat metodologi modern dalam design dan tes digunakan, antara lain Programmable Logic Device (PLD). Untukmengikuti perkembangan ini, perusahaan elektronika telah mendesain dan membuat produk baru. Untuk memenuhi tuntutan ini, engineers dan teknisi berusaha untuk membuat penemuan yang membantu mereka membuat prototype rangkaian digital dan mengevaluasi kemajuannya dalam waktu yang lama. Salah satunya adalah teknologi Programmable Logic Devices (PLD) yang merupakan IC digital logic yang bisa dirubah fungsinya melalui pemrograman dan seperti diindustri, akan dapat dilihat bagaimana mudahnya membuat rangkaian digital menggunakan PLD. Perumusan Masalah Bagaimana merancang dan membuat dekoder biner ke desimal dengan tampilan sevensegment berbasis
Elektron: Vol 2 No. 1, Edisi Juni 2010 |
39
ISSN:2085-6989 Programmable Logic Device (PLD) menggunakan IC PAL 22V10. Tujuan 1. Merancang dan membuat dekoder biner ke desimal dengan tampilan sevensegment berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V10. 2. Menganalisis hasil teknologi Programmable Logic Device (PLD) untuk dekoder biner ke desimal dengan tampilan sevensegment. Landasan Teori Berbagai Programmable Logic Device (PLD) dapat digunakan untuk logika pemrograman yang banyak dengan hanya menggunakan satu IC. Keuntungannya adalah kefleksibelannya dalam penggunaan dengan skedul yang lebih ketat, untuk penggunaan pada produk dengan volume yang kecil dan untuk pengaktifan awal sebuah produk meskipun digunakan pada high volume. Single PLD terdiri dari gerbang logika dalam jumlah yang banyak, termasuk device penghubung dimana semua device ini berada dalam satu IC. Dengan menggunakan PC, logika program dapat dibuat dengan HDL menggunakan text editor atau gambar sederhana dari logika rangkaian dengan menggunakan schematic editor. HDL atau schematic selanjutnya decompile dengan program untuk membuat detail dari rangkaian logika yang akan menghasilkan output dari rancangan yang yang telah dibuat pada HDL atau skematik. Operasi dari rangkaian ini selanjutnya dapat disimulasikan untuk meyakinkan bahwa output sesuai dengan yang diinginkan. Jika simulasi rangkaian telah sesuai, maka desain selanjutnya didownload melalui parallel port ke PLD. Switch dihubungkan ke input dan LED ke output untuk mencoba test akhir pada prototype. Metode pembuatan 40
programmable logic dengan prototype ini mempunyai keuntungan : 1. Dengan pengurangan penggunaan kabel seminim mungkin, prototype dapat dibuat, ditest dan dimodifikasi secepat mungkin 2. Kesalahan dalam penggunaan kabel dapat dihindari 3. Experiment dengan berbagai type IC digital dapat dilakukan tanpa harus menyimpan type IC yang diperlukan 4. Rancangan rangkaian bisa disimpan sebagai file electronic dalam PC dan digunakan lagi begitu diperlukan. 5. Karena PLD dapat digunakan berulang-ulang, modifikasi dapat dilakukan dengan mengubah rangkaian pada PC dengan mudah dan rancangan baru dapat didownload lagi ke PLD
Gambar 1 Konstruksi rangkaian PLD | Elektron: Vol 2 No. 1, Edisi Juni 2010
ISSN:2085-6989 1. Salah satu contoh IC yang menggunakan teknologi PLD adalah IC22V10. IC 22V10 terdiri dari 24 pin dengan pin 12 berfungsi sebagai ground dan pin 24 berfungsi sebagai catu daya 5 volt. Pin 1 berfungsi sebagai clock atau input dan pin 2 sampai 13 (kecuali pin 12) berfungsi sebagai input saja. Pin 14 sampai pin 23 berfungsi sebagai input atau output. Bahasa pemograman yang digunakan adalah Warp 4.2.
Salah satu contoh piranti decoder yang paling banyak digunakan adalah decoder biner code decimal (BCD) dengan tampilan sevensegment. METODOLOGI PENELITIAN Perancangan dan Pembuatan Perangkat Keras
PC
Gambar 2 Konfigurasi IC PAL 22V10 Decoder atau pengurai sandi (kode) atau pendekode adalah suatu piranti yang dapat mengubah suatu system bilangan biner yang terdapat pada bagian masukan, menjadi system bilangan yang lainnya (decimal, contohnya) yang terdapat pada bagian keluarannya. Proses pengubahannya disebut decoding. Pada hakekatnya, decoder berfungsi sebagai penerjemah sandi. Pada masukan decoder harus berupa system bilangan biner. Jadi, pada hakikatnya, bagian masukan dari decoder adalah system bilangan biner yang hanya dimengerti oleh computer, sedangkan bagian keluaran dari decoder biasanya menggunakan code dengan system bilangan yang biasa digunakan oleh manusia sehari-hari. Elektron: Vol 2 No. 1, Edisi Juni 2010 |
Downloader
Input : Output : - Switch PAL22V10 - led -multivibrator - SevenSegment astable
PLD Trainer Gambar 3 Diagram blok hardware 1. Personal Computer (PC), berfungsi sebagai tempat pembuatan program dengan menggunakan bahasa pemograman Warp 4.2 dan simulasi hasil pemograman. 2. Downloader,berfungsi untuk memindahkan data hasil pemograman dari personal computer (PC) ke IC PAL 22V10. 3. Input switch berfungsi sebagai input logic. 4. Multivibrator astable berfungsi sebagai pembangkit pulsa/clock untuk mengubah data.
41
+5V
a b c d e f g 4,7K
C 10 0n
Q2 Q1 V
Q0
Library ieee; Use ieee.std_logic_1164.all; Use work.std_arith.all Entity counter7segment is port ( Clk,reset: in std_logic; q: buffer std_logic_vector(2 downto 0); d: out std_logic_vector(6 downto 0)); End counter7segment; Architectuire behavioral of counter7segment is Begin Counter: process (clk,reset) 42
| Elektron: Vol 2 No. 1, Edisi Juni 2010
ISSN:2085-6989 begin If reset=’0’ then q<=’000’; elsif(clk’event and clk=’1’)then q<=q + 1; end if; end process counter; tujuhsegment: process(q,reset) begin if reset=’0’then d<=”0000000”; else case q is when”000”=>d<=”0111111”; when”001”=>d<=”0000110”; when”010”=>d<=”1011011”; when”011”=>d<=”1001111”; when”100”=>d<=”1100110”; when”101”=>d<=”1101101”; when”110”=>d<=”1111101”; when”111”=>d<=”0000111”; when others”=>d<=”0000000”; end case; end if; end process tujuhsegment; end behavioral;
HASIL
Gambar 7 Hasil simulasi dekoder biner ke desimal menggunakan NOVA Tabel 1 Hasil pengujian decoder biner ke desimal dengan tampilan 7segment Desimal
0 1 2 3 4 5 6 7
Biner Q Q Q 2 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1
Sevensegment g f e d c b a 0 0 1 1 1 1 1 0
1 0 0 0 1 1 1 0
1 0 1 0 0 0 1 0
1 0 1 1 0 1 1 0
1 1 0 1 1 1 1 1
1 1 1 1 1 0 0 1
1 0 1 1 0 1 1 1
PEMBAHASAN Berdasarkan hasil test fisik rangkaian, dapat dibuktikan bahwa teori mengenai decoder biner ke desimal terbukti kebenarannya. Dan dapat juga diperkuat kebenarannya dengan hasil simulasi dan secara pengujian secara perangkat keras yang terlihat pada tabel di atas. Elektron: Vol 2 No. 1, Edisi Juni 2010 |
43
ISSN:2085-6989 Untuk clock pertama dengan data biner 000 maka bilangan desimal yang tampil pada 7segment adalah 0. Untuk clock kedua dengan data biner 001 maka bilangan desimal yang tampil pada 7segment adalah 1. Untuk clock ketiga dengan data biner 010 maka bilangan desimal yang tampil 2. Untuk clock keempat dengan data biner 011 maka bilangan desimal yang tampil pada 7segment adalah 3. Untuk clock kelima dengan data biner 100 maka bilangan desimal yang tampil pada 7segment adalah 4. Untuk clock keenam dengan data biner 101 maka bilangan desimal yang tampil pada 7segment adalah 5. Untuk clock ketujuh dengan data biner 110 maka bilangan desimal yang tampil pada 7segment adalah 6. Untuk clock kedelapan dengan data biner 111 maka bilangan desimal yang tampil pada 7segment adalah 7. Dari pembahasan diatas dapat kita lihat bahwa hasil rancangan decoder biner ke desimal dengan tampilan 7segment dengan menggunakan teknologi Programmable Logic Device
44
(PLD) yang kita buat telah sesuai dengan yang kita harapkan yaitu sesuai dengan spesifikasi dari IC 7448.
SIMPULAN Dengan menggunakan IC PAL 22V10 kita dapat mengaplikasikan teknologi Programmable Logic Device (PLD) untuk dekoder biner ke desimal sebagai pengganti dari IC 7448.
DAFTAR PUSTAKA Kevin
Skahill., 1997, VHDL for Programmable Logic, Addison Wesley Neil H.E. Weste , 2005, CMOS VLSI Design, Addison Wesley Nigel P. Cook, 2004, Practical Digital Electronics, Prentice Hall Stephen Brown, 2000, Digital logic of Fundamentals With VHDL Design, McGraw-Hill
| Elektron: Vol 2 No. 1, Edisi Juni 2010